首页 | 本学科首页   官方微博 | 高级检索  
   检索      

基于CPLD的异步串行接口芯片设计
引用本文:赵云波,高俊,黄富贵.基于CPLD的异步串行接口芯片设计[J].现代军事通信,2003,11(4):28-31.
作者姓名:赵云波  高俊  黄富贵
作者单位:[1]海军工程大学电子工程学院教授武汉 [2]海军工程大学电子工程学院硕士研究生武汉
摘    要:针对传统的异步串行接口设计方法,本文提出了通过VHDL语言在CPLD(复杂的可编程逻辑器件)上实现异步串行接口新的设计方法,并通过MAXplus软件对软件仿真验证和硬件实测,结果表明电路性能良好,灵活性强。

关 键 词:CPLD  复杂可编程逻辑器件  异步串行接口  VHDL
本文献已被 维普 等数据库收录!
设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号