首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 613 毫秒
1.
文中给出了一个机械人力反馈依从控制用多微机系统的VME总线控制器的基本结构,给出了采用循环选择(RRS)优先策略裁决器的设计细节,指出了在设计过程中遇到的问题及其解决办法,最后以MSI、SSI给出了总线控制器的具体实现。  相似文献   

2.
为了回答在通常情况下多总线多处理机系统的性能问题,研制了一个离散事件仿真模型。在随机变量A(处理机处理次数)和B(处理机的存储器存取次数)的不同类型概率分布情况下分析了这个模型。对于变量A和B,利用了下列几种分布类型:指数、厄兰格(Erlang)、亚指数、超指数、正态和常数分布。仿真试验证明:变量B的系数C_B对系统性能有很大的影响,尤其是当C_B>1时。但如果A是亚指数或超指数分布,那么C_A的影响可以忽略不计。但正态分布不是这种情况。 处理能力、存储器利用率和等候处理机的百分率是我们仿真模型中使用的某些性能变量。我们已仿真了几种多处理机配置并得出结论:如果总线数等于处理机数和存储器模块数最小值的一半,则对应的总线不足系统表现为总线充足系统。很清楚,在不降低系统性能的情况下,对于需要的总线数这是一个重要的节约。  相似文献   

3.
可通过系统的整体设计、主电路板及软件设计全面了解信息记录仪系统的设计方法.按照早期计算机硬件系统的设计思路,信息记录仪主要由控制、数据处理、存储及输入输出四大部分构成.基于国产处理器龙芯2F,采用SIL3124存储控制芯片实现了8TB数据的大容量存储,并利用FPGA完成了1553B总线数据的无过滤监听.系统具有大容量、长时间、多总线数据记录等特点,已成功运用于某武器系统平台中,并取得了良好的效果.  相似文献   

4.
航空电子总线测试系统的设计与实现   总被引:4,自引:0,他引:4  
现代航空电子综合化系统通常建立在M IL-STD-1533B多路传输数据总线通信网络基础之上,总线控制器(BC)和各个远程终端(RT)必须满足M IL-STD-1553B规定的各项协议指标要求,才能正确地联网通讯。讨论了某型航空电子总线测试系统的设计与实现,主要探讨了此系统的软、硬件开发,系统组成及其BC和RT有效性测试的主要内容和要求。在实际应用中收到了很好的效果。  相似文献   

5.
CAN(Controller Area Net)总线技术,是主要用于各种过程检测和控制的一种现场总线。CAN总线采用双线串行通讯方式,具有很强的检错和抗干扰能力,最高通讯速率可达1Mbit/s,最大通讯距离为10000m。CAN具有优先权和仲裁功能,多个具有CAN总线控制器的带有CPU的仪器连接到CAN总线上,可以形成多主机的局部控制网。本文主要介绍CAN总线技术在导弹遥测系统中的应用展望。  相似文献   

6.
介绍了一种低成本现场总线通用监控系统,并阐述了该系统中采集总线的性能特点和基本原理,该系统在监控点数多、空间分布广的应用场合,具有极高的性能价格比.  相似文献   

7.
本文介绍了一种用于单片机之间互连的位总线系统技术,分析了它的软硬件的组成、特点以及位总线互连构成分布式控制系统的方法。着重介绍了在DCX51支持下位总线应用系统的软件开发技术。  相似文献   

8.
MIC总线多控制器通讯的设计与应用   总被引:1,自引:0,他引:1  
MIC总线是专门为解决在恶劣环境下电力/数据的分配和管理而开发的一种具有高可靠性的现场控制总线。本设计实现了在MIC总线冗余系统中主控制器与从控制器间的数据通讯。在此基础上提出了MIC总线主/从控制器一致性的容错思想,并简要描述了该容错技术的实现过程。  相似文献   

9.
针对单一总线测试系统的不足,提出了基于混合总线的飞行器测试系统的软硬件设计方法。通过满足LXI规范的VXI零槽控制器的应用,提供了一种融合LXI总线仪器和遗留的VXI总线仪器的解决方案。混合总线测试系统,具有了LXI,VXI 2种总线设备的优点,该系统可以满足飞行器测试的需要。  相似文献   

10.
1553B 总线仿真系统总线控制软件设计   总被引:2,自引:0,他引:2  
就仿真系统对1553B单层总线系统和层次总线系统的总线控制软件设计进行了研究,给出了提高系统效率的方案和优化1553B总线数据流的方法。  相似文献   

11.
测试总线的发展与展望   总被引:1,自引:0,他引:1  
测试总线是自动测试系统中控制器与仪器模块之间、仪器模块与仪器模块之间、系统与系统之间的信息通道,在自动测试系统中占据重要地位。随着测试总线技术的不断发展,先后出现了GPIB,VXI,PXI,PXIe,LXI和AXIe等测试总线标准。对测试总线的发展历程进行了回顾,对六种测试总线的特点和应用进行了总结,并比较了不同测试总线的性能,重点对测试总线的未来发展方向进行了分析与展望。  相似文献   

12.
为了实现SpaceFibre总线节点的高效数据传输,针对网络协议中关键问题和技术提出了一种基于现场可编程门阵列(Field Programmable Gate Array,FPGA)的SpaceFibre总线节点系统设计方案。其中,采用了轮询仲裁算法,解决了多路虚拟通道中流量控制字的申请冲突;设计了基于服务质量机制的高效处理状态机,实现了多路虚拟通道的服务质量调度;提出了一种并行的分区存储架构和重发控制算法,实现了基于错误检测隔离恢复机制的错误恢复;采用了不同的数据并行处理方案,实现了多种数据格式的循环冗余校验和伪随机序列的计算。通过ModelSim仿真平台对节点系统进行功能仿真,并在Virtex-6 FPGA上完成了系统验证。结果表明,该设计实现了SpaceFibre总线节点的功能,串行传输速度可达3.125 Gbit/s,能够满足高速数据传输需求。  相似文献   

13.
本文讨论了MIL-STD-1553B总线监控器的实现。总线监控器是研制,调试飞机航空电子综合系统不可缺少的支持设备。它能检测1553B 总线的通讯情况及通讯中的一些指标,它能在总线监控器、总线控制器或远程终端方式下工作。本文详细地介绍了总线监控器的功能及软硬件设计,尽量选择LSI 及VISI 芯片,按照模块化的设计思想,尽量提高各个模块的独立性,使得系统控制比简较单,同时,方便了调试和使用。  相似文献   

14.
对基金会现场总线FF(foundation fieldbus)集中式介质访问控制方式中的周期信号所采用的总线调度算法进行了分析,并提出了改进算法.该算法首先对每个子节点总线占用时间进行分割,通过增加average判断环节使得微周期分配更加均匀;然后,采用最终节点自动调度环节减少了最终节点的调度次数,节省了系统开销,并利用改进算法制定了调度表,提出了调度表验证公式,搭建了实验平台模拟总线调度;最后,通过实际案例对比分析了原算法与改进算法的使用特点.结果表明:改进算法生成的调度表具有更大的调度范围和更高的时间利用率.  相似文献   

15.
讨论了某型航空电子设备的数据记录系统的设计与实现 ,主要探讨了此系统的软、硬件开发 ,系统组成及其信息流。系统采用了 ARINC4 2 9总线和并行总线 ,将各个子系统连接起来 ,实现数据的综合处理、综合控制、综合传输 ,在实际应用中收到了很好的效果  相似文献   

16.
混合总线网络化ATS可整合多种总线测试仪器/设备功能,发挥不同总线测试平台优势,增强系统灵活性,节约新系统的构建成本.针对靶场测试设备现状,研究了基于网络化测试技术的混合总线ATS构建方法.提出了混合总线网络化ATS硬件结构并设计了利用接口适配器和利用网关设备2种组网方案.  相似文献   

17.
本文系统介绍了计算机总线、仪器总线和LAN的发展及其军事应用。 作为计算机系统,要提高系统性能,除提高CPU性能外,还必须考虑系统总线和I/O总线的性能和结构。如果联网,还要考虑局域网和广域网。  相似文献   

18.
本讲主要介绍如下几个内容: 1.微处理器的总体结构及其应用联接; 2.单微处理机(器)应用系统; 3.多微处理机应用系统。微处理器(μp)自七十年代初问世以来,以其本身所具有的一系列独特优点,引人注目。七十年代中期,正当8位μp迅速发展和推广应用之际,它一方面向下发展4位/1位μp,面向简易控制和生活应用领域,另方面又向上发展16位μp,并试图向小型机应用领域扩展。七十年代末期,由于H-MOS新工艺的突破,  相似文献   

19.
在传统1553B总线通信协议的基础上,通过增加初始握手过程和远置终端电子数据单的设计,形成具有即插即用特征的通信协议。基于这个协议,给出1553B总线控制器的即插即用设计方案,包括远置终端加入的总线表构建算法、管理数据结构和总线表动态调度的运行控制方式。总线表构建算法采用基于电子数据单的方法,根据远置终端的通信需求进行分析、计算、转换得到新的总线表。数据结构的思路是将总线表内容的分析提前到初始化时完成,以提高总线运行时的管控和数据交换的效率,以及方法的统一。总线表调度采用主总线表加插入消息的方式。对以上综合解决方案进行时间和适用场合的效果评估,结果表明该方案形成的总线周期、远置终端接入时间长度等指标达到了可以接受的程度,既保持了总线周期的稳定,又提供了即插即用所需要的控制灵活性。具有即插即用特征的1553B总线在CCSDS-SOIS架构中作为底层子网之一,为上层即插即用系统的构建提供了支撑。  相似文献   

20.
针对ARM9处理器专用总线扩展时存在总线竞争以及WindowsCE系统下的应用程序不能直接访问外设物理地址等问题,以S3C2410处理器通过HPI接口扩展TMS320VC5402型DSP为例,提出了一种专用总线设计方法,并对防止总线竞争和WindowsCE系统下总线设备的虚拟地址编程访问进行了详细分析。  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号