首页 | 本学科首页   官方微博 | 高级检索  
文章检索
  按 检索   检索词:      
出版年份:   被引次数:   他引次数: 提示:输入*表示无穷大
  收费全文   149篇
  免费   97篇
  国内免费   5篇
  2024年   3篇
  2023年   5篇
  2022年   3篇
  2021年   4篇
  2020年   12篇
  2019年   4篇
  2018年   5篇
  2017年   5篇
  2016年   8篇
  2015年   9篇
  2014年   26篇
  2013年   13篇
  2012年   8篇
  2011年   14篇
  2010年   15篇
  2009年   9篇
  2008年   21篇
  2007年   13篇
  2006年   6篇
  2005年   9篇
  2004年   7篇
  2003年   10篇
  2002年   7篇
  2001年   6篇
  2000年   4篇
  1999年   3篇
  1997年   5篇
  1996年   6篇
  1995年   3篇
  1994年   2篇
  1992年   2篇
  1991年   3篇
  1973年   1篇
排序方式: 共有251条查询结果,搜索用时 15 毫秒
71.
伽利略导航系统若干关键技术分析   总被引:3,自引:0,他引:3  
分析了目前最新的卫星导航定位系统———伽利略系统所采用的若干关键技术.从卫星轨道布置方式、信号与频率设计方法、伪卫星技术及信号服务等几个方面与GPS进行了对比研究.研究结果表明,Galileo系统具有定位精度高、兼容性好、功能全等优点,在新一代导航系统中具有重要地位.  相似文献   
72.
将一种求解最优控制问题的新方法—高斯伪谱法( Gauss Pseudospectral Method-GPM)和传统的直接打靶法有效结合,对月球着陆器定点软着陆轨道快速优化问题做出了研究.推导了高精度模型下着陆动力学方程.针对优化方法各自的特点和多约束条件下最优月球软着陆轨道设计的难点,提出了问题求解的串行优化策略:将控制变量和终端时间一同作为优化变量,同时离散控制变量与状态变量,取较少的Gauss节点,利用GPM求解初值,初值的求解采用从可行解到最优解的串行优化策略;在Gauss节点上离散控制变量,利用直接打靶法求解精确最优解.仿真结果表明,本文提出的轨道优化方法具有较强的鲁棒性和快速收敛性.  相似文献   
73.
针对GNSS导航信号模拟源中多星座轨道计算实时性问题,从计算效率、精度等角度分析和对比了牛顿多项式和埃尔米特多项式插值算法的性能,通过对牛顿插值的加“窗”改进,使得卫星位置、速度的插值精度显著提高.算例结果表明,该方法能够在等间距时间点上多个卫星位置已知、速度未知的条件下,高精度实时地内插出卫星的位置和速度,其中卫星位置插值精度为mm级,速度插值精度小于1e-5 m/s,计算量为广播星历直接计算的1/7,位置和速度的拟合精度均优于三阶埃尔米特插值结果.  相似文献   
74.
通常卫星的唯一能源来源是太阳能,因此星上网络设备的能源供应问题比地面网络的更加严峻。通过修改和扩展链路容量受限的最小代价多商品流模型来适应卫星网络这一特殊的体系结构,并基于低轨道卫星网络的多重覆盖机制和流量分布模型,改进现有的启发式算法来关闭冗余的卫星节点、星地链路和星间链路。在满足链路利用率和路由跳数增加比例约束的条件下,仿真实验中关闭上述三种参数的比例分别可达59%、61%和72%,卫星网络的总体节能比例可达65%。  相似文献   
75.
临近空间高超声速飞行器近年来获得了广泛关注,本文提出一种以基于火箭发动机和双燃烧室冲压发动机的多模态火箭-双燃烧室冲压组合循环发动机作为飞行器的动力系统,并进行了性能分析研究。该飞行器在海拔10 km左右高度以0.8马赫的速度投放,在重力和发动机推力的联合作用下,能够在海拔5~8 km处加速到2马赫;然后加速爬升进入临近空间,发动机工作在引射亚燃或者双燃烧室亚燃模态下。可以根据实际选择高推重比、较低推进剂比冲效率的引射亚燃模态,或是较低推重比、高推进剂比冲效率的双燃烧室亚燃模态。最终飞行器加速到6马赫(26 km),进入双燃室超燃模态。针对空中发射模式和地面发射模式进行了轨道优化,仿真结果表明:在加速爬升到6马赫(26 km)的过程中,空中发射模式相比较地面发射模式可以节省37%的推进剂;空中发射模式存在一个负的最优初始飞行角度使得剩余质量与初始质量的比值达到最大。  相似文献   
76.
对剩余能量进行回收并重复利用有利于提高电感储能脉冲电源驱动电磁发射系统的能量利用效率,剩余能量回收的快慢将直接影响电磁发射系统的发射性能。在电感储能脉冲电源的基础上引入剩余能量快速回收单元可以实现剩余能量快速回收。为研究带有剩余能量回收单元的改进电路对连续电磁发射系统产生的影响,分阶段对改进电路的放电过程进行分析,对一个12模块的增强型轨道炮系统进行建模仿真,并与改进前的电路进行对比分析,进而得到改进电路驱动电磁发射系统的输出特性和发射优点。  相似文献   
77.
RLV再入标准轨道制导与轨道预测制导方法比较分析   总被引:1,自引:2,他引:1       下载免费PDF全文
提出只更新飞行剖面参数的航程更新方法及相应的RLV再入标准轨道制导规律;结合轨道在线生成与跟踪技术,采用Runge-Kutta-Fehlberg自适应变步长轨道快速预报方法,研究了RLV再入轨道预测制导。进一步对两种制导方法进行了比较分析研究,研究认为标准轨道制导与轨道预测制导都是可行的RLV再入制导方案,其有机结合是未来可重复使用跨大气层飞行器再入制导的发展趋势。  相似文献   
78.
为充分发掘利用海量卫星网络数据,提高决策效率,加强空间频轨资源获取与储备的分析手段,尤其是对地球静止轨道资源的协调获取问题,提出基于机器学习算法的卫星网络态势评估策略。通过对卫星网络协调因素进行特征分析,选择卷积神经网络(Convolution Neural Network, CNN)为目标算法模型,并建立算法模型的训练数据集及Label规则,采用分裂信息增益度量方法对数据进行降维处理,建立CNN评估模型,并进行了验证分析。结果表明,CNN模型对卫星网络协调态势评估问题测试的正确率高达80%以上,具有较高的评估效能。随着数据量的增多,CNN评估效果逐步提升,是一种在卫星网络协调态势分析、资源储备的有效评估方法。  相似文献   
79.
随着电磁轨道炮武器装备的快速发展,针对其完成机场跑道毁伤封锁这一重要任务所需的作战能力进行了分析研究。首先,建立了子母弹命中精度圆概率误差(circular error probable, CEP)、跑道瞄准点选择、子母弹落点模拟、跑道封锁概率计算等数学模型,并采用Monte-Carlo法对子母弹的落点分布进行仿真模拟;然后,基于飞机最小起降窗口进行搜索计算,仿真分析了电磁轨道炮射击初速、距离对射击误差的影响以及子母弹命中精度CEP、发射弹丸数量及子母弹抛撒半径等相关战技指标对跑道封锁概率的影响。研究结果对电磁轨道炮弹药总体方案设计及武器系统效能优化具有重要的指导意义。  相似文献   
80.
变长度的测量基线与空间构型的多样性是航天器以编队方式执行深空探测任务的优势之一,该优势的发挥与航天器编队构型重构能力密切相关.针对Halo轨道编队构型重构问题开展研究,分析了Halo轨道编队的构型特性,推导了Halo轨道编队构型重构最优控制的Hamilton方程,基于第一类生成函数构造了最优控制Hamilton方程的迭...  相似文献   
设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号